Home technology Microprocessor

Microprocessor



Overview

Compared with the traditional central processing unit, the microprocessor has the advantages of small size, light weight and easy modularization. The basic components of a microprocessor are: register file, arithmetic unit, timing control circuit, and data and address buses.

Since the invention of the transistor in 1947, semiconductor technology has gone through several generations of silicon transistors, integrated circuits, very large scale integrated circuits, and very large scale integrated circuits in the past 50 years. The speed of development is unprecedented in other industries. Of. Semiconductor technology has had a wide-ranging impact on the entire society, so it is called the "seed of the industry." The central processing unit refers to the component that processes data and controls the processing process inside the computer. With the rapid development of large-scale integrated circuit technology, the chip integration density is getting higher and higher, and the CPU can be integrated on a semiconductor chip. Large-scale integrated circuit devices with central processing unit functions are collectively referred to as "microprocessors." It should be noted that the microprocessor itself is not equal to the microcomputer, but only the central processing unit of the microcomputer.

Microprocessors are ubiquitous, whether it is video recorders, smart washing machines, mobile phones and other home appliances, or car engine control, as well as CNC machine tools, missile precision guidance, etc., all kinds of different types of microprocessors must be embedded Device. The microprocessor is not only the core component of a microcomputer, but also a key component of various digital intelligent devices. High-end computing systems such as ultra-high-speed supercomputers and mainframe computers in the world are also built with a large number of general-purpose high-performance microprocessors.

Internal structure

The 16-bit microprocessor (the 8086 microprocessor in the figure) can be divided into two parts, one part is the execution unit (EU), that is, the part that executes instructions; The other part is the bus interface unit (BIU), which communicates with the 8086 bus and executes the operation of fetching instructions from the memory. After the microprocessor is divided into EU and BIU, the operations of fetching instructions and executing instructions can be overlapped. The EU part has a register file, which is composed of 8 16-bit registers, which can be used to store data, index and stack pointer, arithmetic operation logic unit (ALU) to perform arithmetic and logical operations, and mark the register to register the conditions of the results of these operations. These components in the execution component transfer data through the data bus. The bus interface component also has a register file, where CS, DS, SS, and ES are segmented registers for memory space segmentation. IP is the instruction pointer. The internal communication register is also a register for temporarily storing data. The instruction queue stores the instruction stream fetched in advance. The bus interface component also has an address adder, which adds the segmented register value and the offset value to obtain a 20-bit physical address. The data and address are connected with the external 8086 system bus through the bus control logic. The 8086 has a 16-bit data bus. When the processor and off-chip transmit data, a 16-bit binary number is transmitted in one lesson. The 8086 has a primary pipeline structure, which can realize the overlap of on-chip operations and off-chip operations.

Classification of microprocessors

According to the application fields of microprocessors, microprocessors can be roughly divided into three categories: general-purpose high-performance microprocessors, embedded microprocessors, and digital Signal processor, microcontroller. Generally speaking, general-purpose processors pursue high performance. They are used to run general-purpose software and are equipped with a complete and complex operating system; embedded microprocessors emphasize high performance in dealing with specific application problems, and are mainly used to run special programs for specific fields. Equipped with a lightweight operating system, mainly used in consumer home appliances such as cellular phones and CD players; microcontrollers are relatively low in price and have the largest demand in the microprocessor market, mainly used in automobiles, air conditioners, automatic machinery and other fields Of automatic control equipment.

CPU is the abbreviation of Central Processing Unit (Central Processing Unit). It is the most important part of the computer and consists of arithmetic unit and controller. If you compare a computer to a human, then the CPU is the human brain. The development of CPU is very rapid. It only took 21 years for personal computers to develop from 8088 (XT) to Pentium 4 era.

Development History

The development of CPUs has a history of many years. During this period, according to the word length of its processing information, CPUs can be divided into: 4-bit microprocessor, 8-bit micro Processors, 16-bit microprocessors, 32-bit microprocessors, and the latest 64-bit microprocessors, it can be said that the development of personal computers is advancing with the development of CPUs. Microcomputer refers to the large-scale and very large-scale integrated circuits as the main components, and the microprocessor MP (Micro Processor) which integrates the main components of the computer-the controller and the arithmetic unit, as the core. The calculation system constructed after more than 30 years The development of microprocessors can be roughly divided into:

The first generation

The first stage

(1971-1973) Usually the word length is 4-bit or 8-bit microprocessors, typically American Intel 4004 and Intel 8008 microprocessors. Intel 4004 is a 4-bit microprocessor that can perform 4-bit binary parallel operations. It has 45 instructions and a speed of 0.05 MIPs (Million Instruction Per Second). Intel 4004 has limited functions and is mainly used in home appliances such as calculators, electric typewriters, cameras, platform scales, televisions, etc., to make these electrical appliances intelligent and improve their performance. Intel 8008 is the world's first 8-bit microprocessor. The memory uses PMOS technology. At this stage, the computer works slowly, the instruction system of the microprocessor is incomplete, the memory capacity is very small, only a few hundred bytes, there is no operating system, only assembly language. Mainly used for industrial instrumentation and process control.

The second generation

(1974-1977) Typical microprocessors include Intel 8080/8085, Zilog’s Z80 and Motorola’s M6800. Compared with the first generation of microprocessors, the integration level is increased by 1 to 4 times, the calculation speed is increased by 10 to 15 times, the instruction system is relatively complete, and it has a typical computer architecture and functions such as interrupts and direct memory access. .

Because the microprocessor can be used to complete many calculation tasks that previously required larger equipment to complete, and the price is cheap, so various semiconductor companies began to compete to produce microprocessor chips. Zilog company produced the enhanced Z80 8080, Motorola produced the 6800, and Intel produced the enhanced 8085 in 1976, but these chips basically did not change the basic characteristics of the 8080 and belong to the second generation of microprocessors. They all use NMOS technology, the integration is about 9000 transistors, the average instruction execution time is 1μS~2μS, they use assembly language, BASIC, Fortran programming, and use a single-user operating system.

The third generation

The third stage (1978-1984) is the 16-bit microprocessor. In 1978, Intel first introduced the 16-bit microprocessor 8086. At the same time, for the convenience of the original 8-bit computer users, Intel Corporation also proposed a quasi 16-bit microprocessor 8088.

The 8086 microprocessor has a maximum clock speed of 8MHz, a 16-bit data channel, and a memory addressing capacity of 1MB. At the same time, Intel also produced the mathematics coprocessor i8087 to match it. The two chips use mutually compatible instruction sets, but the i8087 instruction set adds some instructions specifically for mathematical calculations such as logarithms, exponents, and trigonometric functions. People refer to these instruction sets as the x86 instruction set. Although Intel has successively produced more advanced and faster new CPUs such as the second and third generations, they are still compatible with the original x86 instructions, and Intel continued to use the original x86 sequence in the naming of subsequent CPUs until later Due to trademark registration issues, the naming using Arabic numerals was abandoned.

In 1979, Intel developed the 8088. Both the 8086 and 8088 use 16-bit data transmission inside the chip, so they are called 16-bit microprocessors, but the 8086 can transmit or receive 16 bits per cycle. Bit data, and 8088 only uses 8 bits per cycle. Because most of the original devices and chips are 8-bit, and the 8088's external 8-bit data transmission and reception can be compatible with these devices. 8088 adopts 40-pin DIP package, operating frequency is 6.66MHz, 7.16MHz or 8MHz, microprocessor integrates about 29,000 transistors.

After Intel introduced 8086 and 8088 CPUs, various companies have also launched similar products, such as Zilog’s Z8000 and Motorola’s M68000. 16-bit microprocessors have larger addressing space, stronger computing power, faster processing speed and more complete instruction system than 8-bit microprocessors. Therefore, 16-bit microprocessors have been able to replace the functions of some minicomputers. Especially in single-task, single-user systems, 16-bit microprocessors such as 8086 have been widely used.

In 1981, the American IBM Corporation used the 8088 chip in the IBM-PC machine it developed, thus creating a new era of microcomputers. It was also from the 8088 that the concept of personal computers (PC) began to develop around the world. From the 8088 application to the IBM PC, the personal computer has truly entered people's work and life, and it also marked the beginning of a new era.

In 1982, Intel developed the 80286 microprocessor on the basis of the 8086. The maximum frequency of the microprocessor is 20MHz. The internal and external data transmission are both 16 bits and 24 bits are used. The addressing of the internal memory, the memory addressing capacity is 16MB. 80286 can work in two modes, one is called real mode, and the other is called protection mode.

In the real mode, the total amount of memory that the microprocessor can access is limited to 1 megabyte; while in the protection mode, the 80286 can directly access 16 megabytes of memory. In addition, the 80286 works in the protection mode, which can protect the operating system, unlike real mode or 8086 unprotected microprocessors, which will shut down the system when encountering abnormal applications.

IBM's use of 80286 microprocessors in advanced technology microcomputers, namely AT machines, caused a great sensation. 80286 has significant improvements over its predecessors in the following four aspects: support for larger memory; can simulate memory space; can run multiple tasks at the same time; improve processing speed.

The earliest PC's speed was 4MHz, and the first 80286-based AT machine ran at 6MHz to 8MHz. Some manufacturers also increased the speed to make the 80286 reach 20MHz, which means that the performance is Significant progress has been made.

The package of 80286 is a square package called PGA. PGA is a cheap package derived from PLCC. It has an internal and external solid pin. In this package, the 80286 integrates approximately 130,000 transistors.

The bus of the IBM PC/AT microcomputer maintains the three-tier bus structure of XT, and adds high and low byte bus driver conversion logic and high byte bus. Like the XT machine, the CPU is also welded on the motherboard.

The fourth generation

The fourth stage (1985-1992) is a 32-bit microprocessor. On October 17, 1985, Intel’s epoch-making product, the 80386DX, was officially released. It contained 275,000 transistors with a clock frequency of 12.5MHz, and then gradually increased to 20MHz, 25MHz, 33MHz, and finally a small number of 40MHz products.

The internal and external data bus of 80386DX is 32-bit, and the address bus is also 32-bit. It can address 4GB of memory and can manage 64TB of virtual storage space. In addition to its operating mode, it has a real mode and a protected mode, it also adds a "virtual 86" working mode, which can provide multitasking capabilities by simulating multiple 8086 microprocessors at the same time.

The 80386DX has more instructions than the 80286. The 80386 with a frequency of 12.5MHz can execute 6 million instructions per second, which is 2.2 times faster than the 80286 with a frequency of 16MHz. The most classic product of 80386 is 80386DX-33MHz. Generally speaking, 80386 refers to it.

Due to the powerful computing power of 32-bit microprocessors, PC applications have expanded to many fields, such as commercial office and computing, engineering design and computing, data centers, and personal entertainment. The 80386 made 32-bit CPUs a standard in the PC industry.

In 1989, Intel introduced the quasi-32-bit microprocessor chip 80386SX. This is a cheaper and popular CPU launched by Intel to expand its market share. Its internal data bus is 32 bits and the external data bus is 16 bits. It can accept the 16-bit input/output interface chip developed for the 80286. Reduce the cost of the whole machine. After the 80386SX was launched, it was widely welcomed by the market, because the performance of the 80386SX was much better than that of the 80286, and the price was only one-third of the 80386.

In 1989, the 80486 chip, which is familiar to all of us, was launched by Intel. The great thing about this chip, which has been developed for four years and invested 300 million US dollars, is that it has broken the limit of 1 million transistors for the first time, integrates 1.2 million transistors, and uses a 1 micron manufacturing process. The 80486's clock frequency has been gradually increased from 25MHz to 33MHz, 40MHz, and 50MHz.

80486 is the integration of 80386 and math co-microprocessor 80387 and an 8KB cache in one chip. The digital operation speed of the 80487 integrated in the 80486 is twice that of the previous 80387, and the internal cache shortens the waiting time of the microprocessor and slow DRAM. Moreover, RISC (reduced instruction set) technology is used for the first time in the 80x86 series, which can execute an instruction in one clock cycle. It also uses a burst bus mode, which greatly improves the speed of data exchange with the memory. Because of these improvements, the performance of the 80486 is 4 times higher than that of the 80386 DX with the 80387 math co-processor.

The fifth generation

The fifth stage (1993-2005) is the era of the pentium series of microprocessors, usually called the fifth generation. Typical products are Intel’s Pentium series of chips and AMD’s compatible K6 series of microprocessor chips. The superscalar instruction pipeline structure is adopted internally, and the instruction and data caches are independent of each other. With the emergence of MMX (MultiMediaeXtended) microprocessors, the development of microcomputers has reached a higher level in terms of networking, multimedia and intelligence.

The early Pentium 75MHz~120MHz used 0.5 micron manufacturing process, and the later Pentium above 120MHz frequency changed to 0.35 micron process. The performance of the classic Pentium is fairly average, with good integer arithmetic and floating-point arithmetic. In order to improve the application capabilities of computers in multimedia and 3D graphics, many new instruction sets have emerged. The most famous three are Intel’s MMX, SSE and AMD’s 3D NOW!. MMX (MultiMedia Extensions, multimedia extension instruction set) is a multimedia instruction enhancement technology invented by Intel in 1996. It includes 57 multimedia instructions. These instructions can process multiple data at once. MMX technology can be obtained with the cooperation of software. Better performance.

The official name of Pentium MMX is "Pentium with MMX technology", which was released at the end of 1996. Since the multi-power Pentium, Intel has begun to lock the multiplier of its CPU, but MMX's CPU has a very strong over-frequency capability, and it can also over-multiply by increasing the core voltage, so overclocking was very fashionable at that time Action. The term overclocking has also become popular since that time.

Duo Neng Pentium is another successful product of Intel after Pentium, and its vitality is also quite tenacious. Multi-energy Pentium has made significant improvements on the basis of the original Pentium, adding on-chip 16KB data cache and 16KB instruction cache, 4-way write cache, branch prediction unit and return stack technology. Especially with the newly added 57 MMX multimedia instructions, the Pentium Multi can be much faster than the Pentium CPU of the same frequency even when running non-MMX optimized programs.

The Pentium II processor, launched in 1997, combines Intel MMX technology to process video, sound effects, and graphics data with extremely high efficiency. For the first time, it uses a Single Edge Contact (SEC) box package with built-in High-speed cache memory. This chip allows computer users to capture, edit, and share digital photos with relatives and friends through the Internet, edit and add text, music, or make home movie transition effects, use video phones, and use standard phone lines to communicate with the Internet. The network transmits the video, the number of Intel Pentium II processor transistors is 7.5 million.

The Pentium III processor adds 70 new commands, and the Internet streaming SIMD extension set is called MMX, which can greatly improve the performance of advanced imaging, 3D, streaming music, video, voice recognition and other applications. It can greatly enhance the experience of using the Internet, allowing users to browse realistic online museums and stores, and download high-quality videos. Intel introduced 0.25 micron technology for the first time, and the number of Intel Pentium III transistors is approximately 9.5 million.

In the same year, Intel also released the Pentium III Xeon processor. As the successor of Pentium II Xeon, in addition to adopting a new design in the core architecture, it also inherits the 70 new instruction sets of the Pentium III processor to better execute multimedia and streaming media application software. In addition to facing the enterprise-level market, Pentium III Xeon strengthens the capabilities of e-commerce applications and high-end business computing. There are also many improvements in cache speed and system bus structure, which greatly improves performance and designs for better multi-processor coordination.

The Pentium 4 processor launched in 2000 has built-in 42 million transistors and uses a circuit of 0.18 microns. The speed of the initial version of Pentium 4 is as high as 1.5GHz, and the number of transistors is about 42 million. In August of the following year, Pentium 4 processing reached the 2 GHz milestone. In 2002, Intel introduced the new Intel Pentium 4 processor with innovative Hyper-Threading (HT) technology. Hyper-threading technology creates a new level of high-performance desktop computers that can quickly execute multiple computing applications at the same time, or bring higher performance to software that supports multiple threads. Hyper-threading technology increases computer performance by 25%. In addition to providing hyper-threading technology for desktop computer users, Intel has also reached another computer milestone. It is the launch of the Pentium 4 processor with an operating frequency of 3.06 GHz. It is the first commercial microcomputer to perform 3 billion computing cycles per second. The processor's excellent performance was attributed to the industry's most advanced 0.13 micron process technology at the time. The following year, the Intel Pentium 4 processor with built-in hyper-threading technology reached a frequency of 3.2 GHz.

PentiumM: A new type of mobile CPU specially designed by the Israeli team. Pentium M is Intel’s x86 architecture microprocessor for notebook personal computers. It is also used as a part of Centrino in March 2003. Launched in the month. The following main frequencies have been announced: standard 1.6GHz, 1.5GHz, 1.4GHz, 1.3GHz, low voltage 1.1GHz, ultra-low voltage 900MHz. In order to obtain high performance at low main frequencies, Banias has made optimizations to increase the number of instructions that can be executed per clock, and reduces the rate of error predictions through advanced branch prediction. In addition, the most prominent improvement is that the L2 cache is increased to 1MB (both P3-M and P4-M are only 512KB). It is estimated that most of the 77 million transistors in Banias are used here.

In addition, there are a series of designs related to reducing power consumption: Enhanced Speedstep technology is essential, with multiple supply voltages and calculation frequencies, so that performance can better meet application requirements.

Intelligent power distribution can centrally distribute the system power to where the processor needs it, and close idle applications; mobile voltage positioning (MVPIV) technology can dynamically reduce the voltage according to processor activity, thereby supporting lower Heat dissipation design power and smaller shape design; 400MHz system bus with optimized power; Micro-opsfusion micro-operation instruction fusion technology, when there are multiple instructions that can be executed at the same time, these instructions are combined into one instruction to improve Performance and power efficiency. Dedicated stack manager, using dedicated hardware that records internal operating conditions, the processor can execute programs without interruption.

The corresponding chipset of Banias is the 855 series. The 855 chipset consists of the north bridge chip 855 and the south bridge chip ICH4-M. The north bridge chip is divided into 855PM (code name Odem) without built-in graphics card and with built-in The graphics card 855GM (code-named Montara-GM) supports up to 2GB of DDR266/200 memory, AGP4X, USB2.0, two sets of ATA-100, AC97 audio and Modem. Among them, 855GM optimizes InternalClockGating for the 3D and display engine. It can power the 3D display engine when needed, thereby reducing the power of the chipset.

In 2005, Intel launched Pentium D and Pentium Extreme Edition dual-core processors, and introduced the 945/955/965/975 chipset to support the newly launched dual-core processors, produced by 90nm process The two newly launched dual-core processors use the LGA 775 interface without pins, but the number of chip capacitors at the bottom of the processor has increased, and the arrangement is also different.

The processor with the core code name of the desktop platform Smithfield is officially named the Pentium D processor. In addition to getting rid of the Arabic numerals and using English letters to indicate the generational alternation of this dual-core processor, the letter D is also changed. Easily reminiscent of the meaning of Dual-Core dual core.

Intel’s dual-core architecture is more like a dual-CPU platform, and the Pentium D processor continues to be produced using the Prescott architecture and 90nm production technology. The Pentium D core is actually composed of two independent 2 independent Prescott cores, each core has an independent 1MB L2 cache and execution unit, the two cores together have a total of 2MB, but because both cores in the processor have Independent cache, so it is necessary to ensure that the information in each second-level cache is exactly the same, otherwise there will be operational errors.

In order to solve this problem, Intel handed over the coordination between the two cores to the external MCH (North Bridge) chip. Although the data transmission and storage between the caches is not huge, it is required Coordinating processing through the external MCH chip will undoubtedly bring a certain delay to the overall processing speed, thereby affecting the overall performance of the processor.

Because of the Prescott core, Pentium D also supports EM64T technology and XD bit security technology. It is worth mentioning that the Pentium D processor will not support Hyper-Threading technology. The reason is obvious: it is not easy to properly distribute data flows and balance computing tasks among multiple physical processors and multiple logical processors. For example, if the application requires two computing threads, it is obvious that each thread corresponds to a physical core, but what if there are 3 computing threads? Therefore, in order to reduce the complexity of the dual-core Pentium D architecture, Intel decided to cancel the support for Hyper-Threading technology in the Pentium D for the mainstream market.

The same comes from Intel, and the difference in the names of the two dual-core processors, Pentium D and Pentium Extreme Edition, also indicates that the two processors are not the same in specifications. The biggest difference between them is the support for Hyper-Threading technology. Pentium D does not support Hyper-Threading technology, while Pentium Extreme Edition does not have this limitation. With Hyper-Threading Technology turned on, the dual-core Pentium Extreme Edition processor can simulate two other logical processors, which can be recognized by the system as a quad-core system.

Pentium EE series are marked with three digits in the form of Pentium EE8xx or 9xx, such as Pentium EE840, etc. The larger the number, the higher the specification or the more features it supports.

Pentium EE8x0: This is a product with Smithfield core, 1MB L2 cache per core, and 800MHz FSB. The only difference between it and the Pentium D8x0 series is that it only adds support for hyper-threading technology, among other things The technical characteristics and parameters are exactly the same.

Pentium EE9x5: It means that this is a product with a Presler core, 2MB L2 cache per core, and 1066MHzFSB. The difference from the PentiumD9x0 series is that it adds support for hyper-threading technology and increases the front side bus to 1066MHzFSB. In addition, other technical characteristics and parameters are completely the same.

Single-core Pentium 4, Pentium 4 EE, Celeron D, and dual-core Pentium D and Pentium EE CPUs are packaged in LGA775. Different from the previous Socket 478 interface CPU, the bottom of the LGA 775 interface CPU does not have traditional pins. Instead, 775 contacts are used instead, which is not a pin type but a contact type. The root contact pin touches to transmit the signal. The LGA 775 interface can not only effectively increase the signal strength and frequency of the processor, but also increase the yield rate of the processor and reduce the production cost.

The sixth generation

The sixth stage (2005 to present) is the era of the core series of microprocessors, usually called the sixth generation. "Core" is a new type of microarchitecture leading energy saving. The starting point of the design is to provide outstanding performance and energy efficiency, and improve the performance per watt, which is the so-called energy efficiency ratio. Early Core Duo was based on notebook processors. Core 2: The English name is Core 2 Duo, which is the name of a new generation of products based on the Core micro-architecture launched by Intel in 2006. Released on July 27, 2006. Core 2 is a cross-platform architecture system, including three major areas: server version, desktop version, and mobile version. Among them, the development code name of the server version is Woodcrest, the development code name of the desktop version is Conroe, and the development code name of the mobile version is Merom.

The Core microarchitecture of the Core 2 processor is a new generation of Intel architecture improved by Intel’s Israeli design team based on the Yonah microarchitecture. The most significant change lies in the enhancement in each key part. In order to improve the efficiency of internal data exchange between the two cores, a shared secondary cache design is adopted, and the two cores share up to 4MB of secondary cache.

After the LGA775 interface, Intel first launched the LGA1366 platform, positioning the high-end flagship series. The first processor with the LGA 1366 interface is code-named Bloomfield, using an improved Nehalem core, based on a 45-nanometer process and a native quad-core design, with a built-in 8-12MB L3 cache. The LGA1366 platform once again introduces Intel Hyper-Threading technology, and QPI bus technology replaces the front-side bus design that has been used in the Pentium 4 era. The most important thing is that the LGA1366 platform is a platform that supports three-channel memory design. It has a greater improvement in actual performance. This is also a major difference between the LGA1366 flagship platform and other platforms.

As a representative of high-end flagships, the early LGA1366 interface processors mainly include the 45nm Bloomfield core Core i7 quad-core processor. As Intel bought the 32nm process in 2010, the representative of the high-end flagship was replaced by the Core i7-980X processor. The new 32nm process solves the six-core technology and has the most powerful performance. For users who are preparing to build a high-end platform, LGA1366 still occupies the high-end market, and Core i7-980X and Core i7-950 are still good choices.

Intel Core i7 is a 45nm native quad-core processor with 8MB L3 cache and supports three-channel DDR3 memory. The processor uses the LGA 1366 pin design and supports the second-generation hyper-threading technology, which means that the processor can run with eight threads. According to tests circulating on the Internet, the same-frequency Core i7 has much higher performance than the Core 2 Quad.

Based on the previous information, Intel will first release three Intel Core i7 processors with frequencies of 3.2GHz, 2.93GHz and 2.66GHz. The clocked at 3.2GHz belongs to Intel Core i7 Extreme. The processor is priced at US$999, and of course this top-of-the-line processor is aimed at enthusiast users. The lower frequency 2.66GHz is priced at US$284, or about 1940 yuan, and is aimed at ordinary consumers. A new generation of Core i7 processors will be launched in the fourth quarter of 2013.

From the situation shown by Intel at the Intel Technology Summit 2008 (IDF2008), the capability of core i7 is about three times that of core2 extreme qx9770 (3.2GHz). On IDF, intel staff used a core i7 3.2GHz processor to demonstrate CineBench R10 multi-threaded rendering, and the results were amazing. After the rendering started, the eight threads of the four cores started working at the same time, and the complete picture was presented on the screen after only 19 seconds, with a score of over 45800. In contrast, core2 extreme qx 9770 3.2GHz can only get about 12,000 points, and the overclocking to 4.0GHz barely exceeds 15,000 points, which is less than one-third of core i7. The super strength of core i7 can be seen from this.

Core i5 is a quad-core processor based on the Nehalem architecture. It adopts an integrated memory controller, a three-level cache mode, L3 reaches 8MB, and supports Turbo Boost and other technologies for the new processor computer configuration. The main difference between it and Core i7 (Bloomfield) is that the bus does not use QPI, it uses a mature DMI (Direct Media Interface), and only supports dual-channel DDR3 memory. Structurally, it uses the LGA1156 interface, and Core i7 uses the LGA1366. i5 has turbo frequency technology, which can be overclocked under certain circumstances.

Core i3 can be seen as a further streamlined version (or castrated version) of Core i5. There will be a 32nm process version (the development code is Clarkdale, based on Westmere architecture). The biggest feature of Core i3 is the integration of GPU (graphics processing unit), which means that Core i3 will be packaged with two cores, CPU+GPU. Due to the limited performance of the integrated GPU, users who want better 3D performance can add a graphics card. It is worth noting that even for Clarkdale, the manufacturing process of the core part of the display will still be 45nm. The biggest difference between i3 and i5 is that i3 does not have turbo technology.

In June 2010, Intel once again released a revolutionary processor-the second generation Core i3/i5/i7. The second-generation Core i3/i5/i7 belong to the second-generation Smart Core family, all based on the new Sandy Bridge micro-architecture, which brings five important innovations compared to the first-generation products: 1. The use of the new 32nm Sandy Bridge micro-architecture Architecture, lower power consumption, stronger performance. 2. Built-in high-performance GPU (core graphics card), video encoding and graphics performance is stronger. 3. Turbo Boost Technology 2.0, smarter and more efficient. 4. The introduction of a new ring architecture brings higher bandwidth and lower latency. 5. Brand new AVX and AES instruction set, strengthen floating point operation and encryption and decryption operation.

SNB (Sandy Bridge) is a new generation of processor microarchitecture released by Intel in early 2011. The greatest significance of this architecture is to redefine the concept of "integrated platform", which is "seamlessly" with the processor. The "integrated" "core graphics card" put an end to the era of "integrated graphics". This initiative benefited from a new 32nm manufacturing process. Because the processor under the Sandy Bridge architecture uses a 32nm manufacturing process that is more advanced than the previous 45nm process, theoretically achieves a further reduction in CPU power consumption, and significant optimization of circuit size and performance, which will integrate the graphics core (HD Graphics) and the CPU are packaged on the same substrate, creating favorable conditions. In addition, the second-generation Core Duo also added a new high-definition video processing unit. The speed of video conversion and decoding is directly related to the processor. Due to the addition of the high-definition video processing unit, the video processing time of the new generation of Core processors is at least 30% higher than that of the old processors. The new generation of Sandy Bridge processor adopts the new LGA1155 interface design, and cannot be compatible without the LGA1156 interface. Sandy Bridge is a new micro-architecture that will replace Nehalem, but it will still use a 32nm process. The more fascinating thing is that this time Intel is no longer sticking the CPU core and GPU core together with "glue", but has truly integrated the two into one core.

On the afternoon of April 24, 2012, Beijing Planetarium, Intel officially released the ivy bridge (IVB) processor. The 22nm Ivy Bridge will double the number of execution units to a maximum of 24, which will naturally bring a further leap in performance. Ivy Bridge will add integrated graphics that support DX11. In addition, the newly added XHCI USB 3.0 controller shares four of the channels to provide up to four USB 3.0, thereby supporting native USB 3.0. CPU production using 3D transistor technology CPU power consumption will be reduced by half.

Composition

The microprocessor is composed of arithmetic logic unit (ALU, Arithmetic Logical Unit); accumulator and general register group; program counter (also called instruction indicator); timing and control Logic components; data and address latch/buffer; internal bus composition. Among them, the arithmetic unit and the controller are its main components.

Arithmetic logic unit

The arithmetic logic unit ALU mainly completes arithmetic operations (+, -, ×, ÷, comparison) and various logic operations (and, or, NOT, XOR) , Shift) and other operations. ALU is a combinational circuit and has no function of registering operands. Therefore, it must have two registers to save operands: temporary storage TMP and accumulator AC. The accumulator provides operands to ALU and receives the results of ALU operations.

The register array is actually equivalent to the RAM inside the microprocessor. It includes two parts: general register group and special register group. General registers (A, B, C, D) are used to store the data participating in the operation. , Intermediate results or addresses. They can generally be used as two 8-bit registers. With these registers inside the processor, frequent access to the memory can be avoided, instruction length and instruction execution time can be shortened, the operating speed of the machine is improved, and programming is also convenient. Special registers include the program counter PC, stack indicator SP, and flag register FR. Their functions are fixed and used to store addresses or address base values. Among them:

A) The program counter PC is used to store the address of the next instruction to be executed, so it controls the execution sequence of the program. Under the condition of sequential execution of instructions, every time a byte of the instruction is fetched, the content of the PC is automatically incremented by 1. When the program is transferred, the new instruction address (target address) must be loaded into the PC, which is usually realized by the transfer instruction.

B) The stack pointer SP is used to store the top address of the stack. The stack is a specific area in memory.它按“后进先出”方式工作,当新的数据压入堆栈时,栈中原存信息不变,只改变栈顶位置,当数据从栈弹出时,弹出的是栈顶位置的数据,弹出后自动调正栈顶位置。也就是说,数据在进行压栈、出栈操作时,总是在栈顶进行。堆栈一旦初始化(即确定了栈底在内存中的位置)后,SP的内容(即栈顶位置)使由CPU自动管理。

C)标志寄存器也称程序状态字(PSW)寄存器,用来存放算术、逻辑运算指令执行后的结果特征,如结果为0时,产生进位或溢出标志等。

定时与控制逻辑是微处理器的核心控制部件,负责对整个计算机进行控制、包括从存储器中取指令,分析指令(即指令译码)确定指令操作和操作数地址,取操作数,执行指令规定的操作,送运算结果到存储器或I/O端口等。它还向微机的其它各部件发出相应的控制信号,使CPU内、外各部件间协调工作。

内部总线用来连接微处理器的各功能部件并传送微处理器内部的数据和控制信号。

必须指出,微处理器本身并不能单独构成一个独立的工作系统,也不能独立地执行程序,必须配上存 储器、输入输出设备构成一个完整的微型计算机后才能独立工作。

存储器

微型计算机的存储器用来存放当前正在使用的或经常使用的程序和数据。存储器按读、写方式分为随机存储器RAM(Random Access Memory)和只读存储器ROM(Read only Memory)。 RAM也称为读/写存储器,工作过程中CPU可根据需要随时对其内容进行读或写操作。 RAM是易失性存储器,即其内容在断电后会全部丢失,因而只能存放暂时性的程序和数据。 ROM的内容只能读出不能写入,断电后其所存信息仍保留不变,是非易失性存储器。所以ROM常用来存放永久件的程序和数据。如初始导引程序、监控程序、操作系统中的基本输入、输出管理程序BIOS等。

I/O接口

输入/输出接口电路是微型计算机的重要组成部件。他是微型计算机连接外部输入、输出设备及各种控制对象并与外界进行信息交换的逻辑控制电路。由于外设的结构、工作速度、信号形式和数据格式等各不相同,因此它们不能直接挂接到系统总线上,必须用输入/输出接口电路来做中间转换,才能实现与CPU间的信息交换。 I/O接口也称I/O适配器,不同的外设必须配备不同的I/O适配器。 I/O接口电路是微机应用系统必不可少的重要组成部分。任何一个微机应用系统的研制和设计,实际上主要是I/O接口的研制和设计。因此I/O接口技术是本课程讨论的重要内容之一,我们将在第八章中详细介绍。

总线

总线是计算机系统中各部件之间传送信息的公共通道,是微型计算机的重要组成部件。它由若干条通信线和起驱动,隔离作用的各种三态门器件组成。微型计算机在结构形式上总是采用总线结构,即构成微机的各功能部件(微处理器、存储器、I/O接口电路等)之间通过总线相连接,这是微型计算机系统结构上的独特之处。采用总线结构之后,使系统中各功能部件间的相互关系转变为各部件面向总线的单一关系,一个部件(功能板/卡)只要符合总线标准,就可以连接到采用这种总线标准的系统中,从而使系统功能扩充或更新容易、结构简单、可靠性大大提高。在微型计算机中,根据他们所处位置和应用场合,总线可被分为以下四级,如图1.4所示。

(1)片内总线:它位于微处理器芯片内部,故称为芯片内部总线。用于微处理器内部ALU和各种寄存器等部件间的互连及信息传送(如图1.3中的内部总线就是片内总线)。由于受芯片面积及对外引脚数的限制,片内总线大多采用单总线结构,这有利于芯片集成度和成品率的提高,如果要求加快内部数据传送速度,也可采用双总线或三总线结构。

(2)片总线:片总线又称元件级(芯片级)总线或局部总线。微机主板、单扳机以及其它一些插件板、卡(如各种I/O接口板/卡),它们本身就是一个完整的子系统,板/卡上包含有CPU,RAM,ROM,I/O接口等各种芯片,这些芯片间也是通过总线来连接的,因为这有利于简化结构,减少连线,提高可靠性,方便信息的传送与控制。通常把各种板、卡上实现芯片间相互连接的总线称为片总线或元件级总线。

相对于一台完整的微型计算机来说,各种板/卡只是一个子系统,是一个局部,故又把片总线称为局部总线,而把用于连接微机各功能部件插卡的总线称为系统总线。局部总线是一个重要的概念,我们将在第七章中讨论。

(3)内总线:内总线又称系统总线或板级总线。因为该总线是用来连接微机各功能部件而构成一个完整微机系统的,如图1.2中所示,所以称之为系统总线。系统总线是微机系统中最重要的总线,人们平常所说的微机总线就是指系统总线,如PC总线、AT总线(ISA总线)、PCI总线等。系统总线是我们要讨论的重点内容之一。

系统总线上传送的信息包括数据信息、地址信息、控制信息,因此,系统总线包含有三种不同功能的总线,即数据总线DB(Data Bus)、地址总线AB(Address Bus)和控制总线CB(Control Bus),如图1.2中所示。

数据总线DB用于传送数据信息。数据总线是双向三态形式的总线,即他既可以把CPU的数据传送到存储器或I/O接口等其它部件,也可以将其它部件的数据传送到CPU。数据总线的位数是微型计算机的一个重要指标,通常与微处理的字长相一致。例如Intel 8086微处理器字长16位,其数据总线宽度也是16位。需要指出的是,数据的含义是广义的,它可以是真正的数据,也可以指令代码或状态信息,有时甚至是一个控制信息,因此,在实际工作中,数据总线上传送的并不一定仅仅是真正意义上的数据。

地址总线AB是专门用来传送地址的,由于地址只能从CPU传向外部存储器或I/O端口,所以地址总线总是单向三态的,这与数据总线不同。地址总线的位数决定了CPU可直接寻址的内存空间大小,比如8位微机的地址总线为16位,则其最大可寻址空间为2^16=64KB,16位微型机的地址总线为20位,其可寻址空间为2^20=1MB。一般来说,若地址总线为n位,则可寻址空间为2^n字节。

控制总线CB用来传送控制信号和时序信号。控制信号中,有的是微处理器送往存储器和I/O接口电路的,如读/写信号,片选信号、中断响应信号等;也有是其它部件反馈给CPU的,比如:中断申请信号、复位信号、总线请求信号、限备就绪信号等。因此,控制总线的传送方向由具体控制信号而定,一般是双向的,控制总线的位数要根据系统的实际控制需要而定。实际上控制总线的具体情况主要取决于CPU。

(4)外总线:也称通信总线。用于两个系统之间的连接与通信,如两台微机系统之间、微机系统与其他电子仪器或电子设备之间的通信。常用的通信总线有IEEE-488总线,VXI总线和RS-232串行总线等。外总线不是微机系统本身固有的,只有微型机应用系统中才有。

AMD CPU

K5

K5是AMD公司第一个独立生产的x86级CPU,发布时间在1996年。由于K5在开发上遇到了问题,其上市时间比英特尔的Pentium晚了许多,再加上性能不好,这个不成功的产品一度使得AMD的市场份额大量丧失。 K5的性能非常一般,整数运算能力不如Cyrix的6x86,但是仍比Pentium略强,浮点运算能力远远比不上Pentium,但稍强于Cyrix。综合来看,K5属于实力比较平均的那一种产品。 K5低廉的价格显然比其性能更能吸引消费者,低价是这款CPU最大的卖点。 AMD 自然不甘心Pentium在CPU市场上呼风唤雨,因此它们在1997年又推出了K6。 K6这款CPU的设计指标是相当高的,它拥有全新的MMX指令以及64KB L1 Cache(比奔腾MMX多了一倍),整体性能要优于奔腾MMX,接近同主频PⅡ的水平。 K6与K5相比,可以平行地处理更多的指令,并运行在更高的时钟频率上。 AMD在整数运算方面做得非常成功,K6稍微落后的地方是在运行需要使用到MMX或浮点运算的应用程序方面,比起同样频率的Pentium 要差许多。

K6

K6拥有32KB数据L1 Cache,32KB指令L1 Cache,集成了880万个晶体管,采用0.35微米技术,五层CMOS,C4工艺反装晶片,内核面积168平方毫米(新产品为68平方毫米),使用Socket7架构。 Cyrix 也算是一家老资格的CPU开发商了,早在x86时代,它和英特尔,AMD就形成了三雄并立的局面。

K6-2

AMD于1998年4月正式推出了K6-2微处理器。它采用0.25微米工艺制造,芯片面积减小到了68平方毫米,晶体管数目也增加到930万个。另外,K6-2具有64KB L1 Cache,二级缓存集成在主板上,容量从512KB到2MB之间,速度与系统总线频率同步,工作电压为2.2V,支持Socket 7架构。

K6-2是一个K6芯片加上100MHz总线频率和支持3D Now!浮点指令的“结合物”。 3D Now!技术是对x86体系的重大突破,它大大加强了处理3D图形和多媒体所需要的密集浮点运算性能。此外,K6-2支持超标量MMX技术,支持100MHz总线频率,这意味着系统与L2缓存和内存的传输率提高近50%,从而大大提高了整个系统的表现。 作为Cyrix公司独自研发的最后一款微处理器,Cyrix MⅡ是于1998年3月开始生产的。除了具有6x86本身的特性外,该微处理器还支持MMX指令,其核心电压为2.9V,具有256字节指令;3.5X倍频;核心内集成650万个晶体管,功耗20.6瓦;64KB一级缓存。

K6-Ⅲ

AMD于1999年2月推出了代号为“Sharptooth”(利齿)的K6-Ⅲ,它是该公司最后一款支持Super 7架构和CPGA封装形式的CPU,采用0.25微米制造工艺、内核面积是135平方毫米,集成了2130万个晶体管,工作电压为2.2V/2.4V。

Athlon(K7)

相对于K6-2而言,K6-Ⅲ最大的变化就是内部集成了256KB二级缓存(新赛扬只有128KB),并以CPU的主频速度运行。 K6-Ⅲ的这一变化将能够更大限度发挥高主频的优势。此外,该微处理器还带有64KB一级缓存(32KB用于指令,另32KB用于数据),而且在主板上还集成了以系统总线频率同步运行的三级缓存,其容量大小从512KB到2MB之间。 1999年6月23日,AMD公司推出了具有重大战略意义的K7微处理器,并将其正式命名为Athlon。 K7有两种规格的产品:第一种采用0.25微米工艺制造,使用K7核心,工作电压为1.6V(其缓存以主频速度的一半运行);第二种采用0.18微米工艺制造,使用K75核心;工作电压有1.7V和1.8V两种。上述两种类型的K7微处理器内部都集成了2130万个晶体管,外频均为200MHz。

Athlon包含128KB的L1 Cache(PⅡ/PⅢ只有32KB);512KB~1MB L2 Cache的片外缓存。同时,它还采用了全新的宏处理结构,拥有三个并行的x86指令译码器,可以动态推测时序,乱序执行;K7拥有一个强劲的浮点处理单元,在3DNOW!指令的帮助下会有更进一步的3D和多媒体处理能力,这个先进的FPU使K7拥有超越其他x86微处理器2倍的性能!另外,K7采用了一种类似于Slot 1的全新的Slot A架构,从物理结构上两者可以互换,但后者的电器性能和前者完全不兼容。在总线方面,使用的是Digital公司的Alpha系统总线协议EV6,外频达200MHz;Athlon是AMD第一个具有SMP(对称多微处理器技术)能力的桌面CPU,即使用者可以用Athlon构建双微处理器甚至4微处理器系统! AMD公司在2000年6月份连续推出了新款的Thunderbird(雷鸟)、Duron(毒龙)微处理器,再次向英特尔Coppermine(铜矿)核心的微处理器发出了强有力的挑战。

Thunderbird(雷鸟)

Thunderbird是AMD面向高端的Athlon系列延续产品,采用0.18微米的制造工艺,共有Slot A和Socket A两种不同的架构,但它们在设计上大致相同:均内置128KB的一级缓存和256KB的二级缓存,其二级缓存与CPU主频速度同步运行;工作电压为1.70V~1.75V,相应的功耗也比老的Athlon要小;集成3700万个晶体管,核心面积达到120平方毫米。

另外,Thunderbird微处理器支持200MHz系统总线频率,提供巨大的带宽,且支持Alpha EV6总线协议,具有多重并行x86指令解码器。

Duron(毒龙)

Duron微处理器是AMD首款基于Athlon核心改进的低端微处理器,它原来的研发代号称为“Spitfire”。 Duron外频也是200MHz,内置128KB的一级缓存和64KB的全速二级缓存,它的工作电压为1.5V,因而功耗要较Thunderbird小。而且它核心面积是100平方毫米,内部集成的晶体管数量为2500万个,比K7核心的Athlon多300万个。这些特点符合了AMD面对低端市场的策略,即低成本低功耗而又高性能。在浮点性能上,基于K7体系的Duron明显优于采用P6核心设计的Intel系列微处理器,它具有三个全流水乱序执行单元,一个用于加/减运算,一个用于复合指令还有一个是浮点存储单元。

其他微处理器发展

1975年,IBM公司生产了几款基于RISC 设计的处理器。其中801就是RISC之父John Cocke的杰作。最终15年后设计出Power 架构系列产品,若干年后更出出现一个影响深远的RISC结构的芯片系列ARM

这是八十年代后,RISC架构被工业界认可后发展起的一种,HP的HPPA-RISC

1975年,摩托罗拉推出 6800 ,该款处理器拥有78条指令集。摩托罗拉很多款单片装处理器和微处理器的设计思想都来源于6800 ,即使曾经很流行功能强大的6809 也是继承了6800 血统。 1985年,摩托罗拉推出MC68010和已经命名为88000的32位RISC处理器系列。但1990年由于要全力研制PowerPC而被迫停产。

Z-80是由从Intel离走的Frederico Faggin设计的8位微处理器,被认为是8080的增强版,------是也是当年很牛的一款单片机,比后来风光无限的51系列更早进入中国,八十年代初学校都是以Z80为基础教学,那种需要用电视作显示器的单板电脑就是用的这种芯片。

不过最先推出的单芯片16位处理器当数TI TMS 9900。虽然出道后势头强劲,但TI为了发展DSP业务,不得不在1982年缩小9900的产量

半导体行业另一巨头,美国国家半导体公司,就是后来收购了设计X86系列处理器的Cyrix公司的,这是1983年由国家半导体(National Semiconductor)推出NS32032,也是一款RISC处理器,但是可惜的是RISC架构的处理器在个人电脑应用中只有POWERPC芯片的市场还算比较成功,其它的都可以说很失败,不过在另一领域:嵌入式应用中,RISC架构的处理器确是风光无限。

1981年,由斯坦福大学和部分研究者研制出MIPS。处理器利用了深度流水线技术。它通过简化指令的操作周期,解决了流水线的瓶颈-联锁问题,促成RISC思想的重要转变。

1982年,由美国伯克利大学研制的RISC-I,只有32条指令,并且具有流水线操作和使用寄存器窗口,性能比同时代单芯片设计都优越

ARM是一家芯片设计公司,自己不生产芯片,而是通过授权生产来发展ARM系列处理器 。 ARM公司在1990年11月英国剑桥的一个谷仓里成立,最初只有12人,经过11年多的发展,今日的ARM公司已经拥有700多名员工,其中60%以上都从事研发工作,ARM公司是一家既不生产芯片(fabless)也不销售芯片(chipless)的公司,它通过出售芯片技术授权,建立起新型的微处理器设计、生产和销售商业模式。更重要的是,这种商业模式取得极大的成功,采用ARM技术IP核的微处理器遍及各类电子产品:汽车、消费电子、成像、工业控制、海量存储、网络、安保和无线等市场,ARM技术几乎无处不在。 ARM将其技术授权给世界上许多著名的半导体、软件和OEM厂商,每个厂商得到的都是一套独一无二的ARM相关技术及服务。利用这种合伙关系,ARM很快成为许多全球性RISC标准的缔造者。总共有30家半导体公司与ARM签订了硬件技术使用许可协议,其中包括Intel、IBM、LG半导体、NEC、SONY、菲利浦和国民半导体这样的大公司。至于软件系统的合伙人,则包括微软、升阳和MRI等一系列知名公司。

中国研发

2004年2月18日,由清华大学自主研发的32位微处理器THUMP芯片终于领到了由国家教育部颁发的“身份证”:典型工作频率400MHz,功耗1.17mW/MHz,芯片颗粒40片,最高工作频率可达500MHz,是目前国内工作频率最高的微处理器。 “这标志着我国在自主研发CPU芯片领域迈开了实质性的一大步。”教育部对THUMP的诞生给予了较高评价。

在龙芯1号、龙芯2号的基础上,中国正在自主研发新一代的龙芯3号。

龙芯3A的工作频率为900MHz~1GHz,功耗约15W,频率为1GHz时双精度浮点运算速度峰值达到每秒160亿次,单精度浮点运算速度峰值每秒320亿次。龙芯3A采用意法半导体公司(STMicro)65纳米CMOS工艺生产,晶体管数目达4.25亿个,芯片采用BGA封装,引脚的数目为1121个,功耗小于15瓦。龙芯3A集成了四个64位超标量处理器核、4MB的二级Cache、两个DDR2/3内存控制器、两个高性能HyperTransport控制器、一个PCI/PCIX控制器以及LPC、SPI、UART、GPIO等低速I/O控制器。龙芯3A的指令系统与MIPS64兼容并通过指令扩展支持X86二进制翻译。龙芯3号在包括服务器、高性能计算机、低能耗数据中心、个人高性能计算机、高端桌面应用、高吞吐计算应用、工业控制、数字信号处理、高端嵌入式应用等产品中具有广阔的市场应用前景。

This article is from the network, does not represent the position of this station. Please indicate the origin of reprint
TOP